//**********************************************************************************************************************************************//
自己整理的 quartus 9.0 包括各种IP核
Arithmet ic MegaWizards and Megafunctions:
Arithmetic components include accumulators, adders, multipliers, and LPM arithmetic functions.
算术组件包括累加器,加法器,乘法器和LPM算术函数。 //**********************************************************************************************************************************************//
MegaWizard Megafunction(s) Comments
ALTACCUMULATE altaccumulate Parameterized accumulator megafunction.累加器模块
ALTECC altecc_decoder Error correction code (ECC) megafunction.数据代码纠正模块
altecc_encoder Error correction code (ECC) megafunction.数据代码纠正模块
ALTFP_ABS
ALTFP_ADD_SUB
altfp_add_sub
Floating-point
adder/subtractor megafunction.浮点加法器/减法器模块
altfp_abs
Floating-point
absolute
value
megafunction.浮点绝对值模块
ALTFP_COMPARE altfp_compare Parameterized floating-point comparator megafunction.浮点比较器模块。
ALTFP_CONVERT altfp_convert Parameterized floating-point conversion megafunction.浮点转换模块。
ALTFP_DIV altfp_div Parameterized floating-point divider megafunction.浮点除法模块
ALTFP_EXP altfp_exp Parameterized floating-point exponent megafunction.浮点指数宏模块
ALTFP_INV altfp_inv Parameterized floating-point inverse megafunction.浮点取反模块
ALTFP_INV_SQRT altfp_inv_sqrt Parameterized floating-point inverse square-root megafunction.浮点取反平方根模块
ALTFP_LOG altfp_log Parameterized floating-point logarithm square-root megafunction.浮点对数平方根模块
ALTFP_MATRIX_MULT altfp_matrix mult Parameterized floating-point multiplier megafunction.浮点乘法器模块
ALTFP_SQRT altfp_sqrt Parameterized floating-point matrix multiplier megafunction.浮点矩阵乘法模块
ALTFP_SQRT altfp_sqrt Parameterized floating-point square root megafunction.浮点平方根模块
ALTMEMMULT
altmemmult Parameterized memory
multiplier megafunction.记忆乘法器模块
ALTMULT_ACCUM (MAC) altmult_accum Parameterized mult iply-accumulate megafunction.乘-累积模块
ALTMULT_ADD altmult_add Parameterized multiplier/adder megafunction.乘法器/加法器模块
ALTMULT_COMPLEX
ALTSQRT altsqrt Parameterized integer square root megafunction.整数平方根模块
LPM_ABS
LPM_ADD_SUB
LPM_COMPARE lpm_compare Parameterized comparator megafunction.比较器模块
LPM_COUNTER
LPM_DIVIDE
lpm_divide
Parameterized
divider
megafunction.分配器模块(新器件)
lpm_counter
Parameterized
counter
megafunction.计数器模块
lpm_add_sub
Parameterized
adder/subtractor megafunction.加法器/减法器模块
lpm_abs
Parameterized
absolute
value
megafunction.绝对值模块
altmult_complex
Parameterized
complex multiplier megafunction.综合乘法器模块
divide* Parameterized divider megafunction.分配器模块(老器件)
Use the divide megafunction with mature device families. For newer device families, use the lpm_divide megafunction.
LPM_MULT
altsquare Parameterized squarer megafunction.平方模块
PARALLEL_ADD parallel_add Parallel adder megafunction.并联加法器模块
//**********************************************************************************************************************************************//
Gates MegaWizards and Megafunctions:
Gates include multiplexers and LPM gate functions. 门包括多路复用器和LPM门的功能。
//**********************************************************************************************************************************************//
MegaWizard Megafunction(s) Comments
LPM_AND lpm_and Parameterized AND gate megafunction.与门模块
LPM_BUSTRI lpm_bustri Parameterized tri-state buffer
lpm_mult
Parameterized
multiplier
megafunction.乘法器模块
megafunction.三态缓冲器模块
LPM_CLSHIFT lpm_clshift Parameterized combinational logic shifter or barrel shifter megafunction.组合逻辑转换模块
LPM_CONSTANT lpm_constant Parameterized constant generator megafunction.常数发生器模块
LPM_DECODE lpm_decode Decoder meg afunction.解码器模块
LPM_INV lpm_inv Parameterized inverter megafunction.反相器模块
LPM_MUX
LPM_OR lpm_or Parameterized OR gate megafunction.或门模块
LPM_XOR lpm_xor Parameterized XOR gate megafunction.异或门模块
//**********************************************************************************************************************************************//
I/O MegaWizards and Megafunctions:
I/O components include Clock Data Recovery (CDR), phase
lpm_mux
Parameterized
multiplexer
megafunctions.多路转换器模块
locked loop (PLL), double data rate (DDR), gigabit transceiver block (GXB), LVDS receiver, LVDS transmitter, PLL reconfiguration, and remote update megafunctions.
IO组件包括时钟数据恢复(CDR),锁相回路(PLL),双数据速率(DDR),千兆位收发器块(GXB),LVDS接收器,LVDS收发器,PLL重新配置和远程更新功能。
//**********************************************************************************************************************************************//
MegaWizard Megafunction(s) Comments
ALT2GXB alt2gxb GXB megafunction.千兆位收发器
ALT2GXB_RECONFIG
ALTASMI_PARALLEL altasmi_parallel Active serial memory interface parallel megafunction.并联接口的串行存储器
ALTCLKCTRL altclkctrl Clock control block megafunction.时钟控制模块
ALTCLKLOCK altclklock Parameterized PLL megafunction.参数化的锁相环模块
ALTDDIO_BIDIR
ALTDDIO_IN altddio_in DDR input megafunction.双倍数输入模块
altddio_bidir
DDR
bidirectional
megafunction.双倍数双向模块
alt2gxb_reconfig
GXB
dynamic
reconfiguration megafunction.千兆位动态配置收发器
ALTDDIO_OUT altddio_out DDR output megafunction.双倍数输出模块
ALTDLL altdll Delay locked loop (DLL) megafunction.锁相环模块
ALTDQ altdq Data strobe megafunction.数据滤波模块
ATLDQS altdqs Parameterized bidirectional data strobe megafunction.参数化的双向数据滤波器
ALTDQ_DQS
ALTGX alt4gxb High-Speed Serial Interface (HSSI) GXB megafunction.高速串行千兆收
发器
ALTGXB altgxb GXB megafunction.千兆位收发器
ALTIOBUF
altiobuf_in Input I/O buffer megafunction.输入缓冲模块
altiobuf_out Output I/O buffer megafunction.输出缓冲模块
ALTLVDS altlvds_rx Low voltage differential signalling (LVDS) receiver megafunction.低电压差分信号接收器
altiobuf_bidir
Bidirectional
I/O
buffer
megafunction.双向I/O缓冲模块
atldq_dqs
Parameterized
data
strobe
megafunction.参数化的数据滤波器
altlvds_tx Low voltage differential signalling (LVDS) transmitter megafunction.低电压差分信号发送器
ALTMEMPHY ALTMEMPHY External DDR Memory PHY interface megafunction.PHY接口的外部的DDR存储器
ALTOCT alt_oct On-chip termination (OCT) megafunction.片上终端模块
ALTPLL altpll Parameterized PLL megafunction.参数化的锁相环模块
ALTPLL_RECONFIG
ALTREMOTE_UPDATE
altstratixii_oct Parameterized OCT megafunction.参数化的片上终端模块
MAX II oscillator altufm_osc Oscillator megafunction.震荡器模块
//********************************************************************************************************************************
altremote_update
Parameterized
remote update megafunction.参数化的远程更新模块
altpll_reconfig
Parameterized
PLL
reconfiguration megafunction.参数化的可重配置的锁相环模块
**************//
JTAG-accessible Megafunctions:
//**********************************************************************************************************************************************//
MegaWizard Megafunction(s) Comments
In-System Sources and Probes altsource_probe In-system debugging megafunction.在系统调试模块
Parallel Flash Loader altparallel_flash_loader Parallel flash loader (PFL) megafunction.并行的快速载入模块
Serial Flash Loader altserial_flash_loader Serial flash loader megafunction.串行的快速载入模块
SignalTap II Logic Analyzer sld_signaltap SignalTap II Logic Analyzer megafunction.SignalTap II逻辑分析模块
Virtual JTAG sld_virtual_jtag Virtual JTAG Interface (VJI) megafunction.虚拟的JTAG接口模块
//****************************************************************************************************************************
******************//
Memory Compiler MegaWizards and Megafunctions:
Extensions
MegaWizards
and
Memory Compiler includes FIFO Partitioner, RAM, ROM, and memory functions.
//**********************************************************************************************************************************************//
MegaWizard Megafunction(s) Comments
Ram initializer altmem_init RAM initialization from ROM megafunction.ROM中载入数据初始化RAM
CAM
FIFO dcfifo Parameterized dual-clock FIFO megafunction.参数化的双时钟先入先出模块
dcfifo_mixed_widths Parameterized dual-clock mixed-widths FIFO megafunction.参数化的双时钟混合宽度的先入先出模块
scfifo Parameterized single-clock FIFO megafunction.参数化的单时钟先入先出模块
FIFO partitioner altcsmem FIFO partitioner megafunction.先入先出区分模块
Flash Memory altufm_i2c User flash memory megafunction with the inter-integrated circuit (I2C) interface protocol.
IIC接口的闪存模块
altufm_none User flash memory megafunction (no interface
altcam
Content-addressable
memory
(CAM)
megafunction.可设地址的存储器模块
protocol).无接口协议的闪存模块
altufm_parallel User flash memory megafunction with the parallel interface protocol.并行接口的闪存模块
altufm_spi User flash memory megafunction with the serial peripheral interface (SPI) protocol.SPI接口的闪存模块
RAM: 1-PORT altram* Parameterized RAM megafunction.参数化的RAM模块
RAM: 2-PORT altdpram* Parameterized dual-port RAM megafunction.参数化的双端口RAM模块
altsyncram Parameterized true dual-port RAM megafunction.参数化的真实双端口RAM模块
lpm_ram_dq* Parameterized RAM with separate input and output ports megafunction.参数化的输入/输出接口分开的RAM模块
RAM: 3-PORT alt3pram Parameterized triple-port RAM megafunction.参数化的三倍端口RAM模块
ROM: 1-PORT altrom* Parameterized ROM megafunction.参数化的ROM模块
altsyncram Parameterized true dual-port synchronous RA M megafunction.参数化的真实双端口同步RAM模块
lpm_rom* Parameterized ROM megafunction.参数化的ROM模块
Shift register altshift_taps Parameterized shift register with taps megafunction.参数化的移位寄存器模块
(RAM-based)
//**********************************************************************************************************************************************//
Storage MegaWizards and Megafunctions:
Storage includes LPM memory functions, parameterized flipflop, parameterized latch, and parameterized shift register functions.
//**********************************************************************************************************************************************//
MegaWizard Megafunction(s) Comments
ALTCAM altcam Content-addressable memory (CAM) megafunction.可设地址的存储器模块
ALTQPRAM altqpram* Parameterized quad-port RAM megafunction.参数化的四倍接口的RAM模块
LPM_FF lpm_dff* Parameterized D-type flipflop and shift
register megafunction.参数化的D型双稳态移位寄存器模块
lpm_ff Parameterized flipflop megafunction.参数化的双稳态模块
lpm_tff* Parameterized T-type flipflop megafunction.参数化的T型双稳态模块
LPM_LATCH lpm_latch Parameterized latch megafunction.参数化的锁模块
LPM_SHIFTREG lpm_shiftreg Parameterized shift register megafunction.参数化的移位寄存器模块
//**********************************************************************************************************************************************//
Other Megafunctions:
The following megafunctions are not available in the MegaWizard Plug-in Manager.
//**********************************************************************************************************************************************//
Megafunction Comments
csdpram Parameterized cycle-shared dual port RAM megafunction.参数化的循环使用的双端口RAM模块
lpm_fifo* Parameterized single-clock FIFO megafunction.参数化的单时钟先入先出模块
lpm_fifo_dc* Parameterized dual-clock FIFO megafunction.参数化的双时钟先入先出模块
lpm_ram_dp* Parameterized dual-port RAM megafunction.参数化的双端口先入先出模块
lpm_ram_io* Parameterized RAM with a single I/O port megafunction.参数化的单信号端口RAM模块
因篇幅问题不能全部显示,请点此查看更多更全内容